/export/starexec/sandbox/solver/bin/starexec_run_c /export/starexec/sandbox/benchmark/theBenchmark.c /export/starexec/sandbox/output/output_files -------------------------------------------------------------------------------- YES proof of /export/starexec/sandbox/benchmark/theBenchmark.c # AProVE Commit ID: 48fb2092695e11cc9f56e44b17a92a5f88ffb256 marcel 20180622 unpublished dirty Termination of the given C Problem could be proven: (0) C Problem (1) CToLLVMProof [EQUIVALENT, 179 ms] (2) LLVM problem (3) LLVMToTerminationGraphProof [EQUIVALENT, 11.3 s] (4) LLVM Symbolic Execution Graph (5) SymbolicExecutionGraphToSCCProof [SOUND, 0 ms] (6) AND (7) LLVM Symbolic Execution SCC (8) SCC2IRS [SOUND, 120 ms] (9) IntTRS (10) IRS2T2 [EQUIVALENT, 0 ms] (11) T2IntSys (12) T2 [EQUIVALENT, 1085 ms] (13) YES (14) LLVM Symbolic Execution SCC (15) SCC2IRS [SOUND, 113 ms] (16) IntTRS (17) IntTRSCompressionProof [EQUIVALENT, 0 ms] (18) IntTRS (19) RankingReductionPairProof [EQUIVALENT, 33 ms] (20) YES ---------------------------------------- (0) Obligation: c file /export/starexec/sandbox/benchmark/theBenchmark.c ---------------------------------------- (1) CToLLVMProof (EQUIVALENT) Compiled c-file /export/starexec/sandbox/benchmark/theBenchmark.c to LLVM. ---------------------------------------- (2) Obligation: LLVM Problem Aliases: Data layout: "e-p:64:64:64-i1:8:8-i8:8:8-i16:16:16-i32:32:32-i64:64:64-f32:32:32-f64:64:64-v64:64:64-v128:128:128-a0:0:64-s0:64:64-f80:128:128-n8:16:32:64-S128" Machine: "x86_64-pc-linux-gnu" Type definitions: Global variables: Function declarations and definitions: *BasicFunctionTypename: "main" linkageType: EXTERNALLY_VISIBLE returnParam: i32 parameters: () variableLength: false visibilityType: DEFAULT callingConvention: ccc 0: %1 = alloca i32, align 4 %i = alloca *i32, align 8 %j = alloca *i32, align 8 %c = alloca *i32, align 8 store 0, %1 %2 = alloca i8, numElementsLit: 4 %3 = bitcast *i8 %2 to *i32 store %3, %i %4 = alloca i8, numElementsLit: 4 %5 = bitcast *i8 %4 to *i32 store %5, %j %6 = alloca i8, numElementsLit: 4 %7 = bitcast *i8 %6 to *i32 store %7, %c %8 = load %c store 0, %8 %9 = load %i store 0, %9 br %10 10: %11 = load %i %12 = load %11 %13 = icmp slt %12 10 br %13, %14, %36 14: %15 = load %j store 3, %15 br %16 16: %17 = load %j %18 = load %17 %19 = icmp slt %18 12 br %19, %20, %31 20: %21 = load %j %22 = load %21 %23 = sub %22 1 store %23, %21 %24 = load %c %25 = load %24 %26 = add %25 1 store %26, %24 br %27 27: %28 = load %j %29 = load %28 %30 = add %29 2 store %30, %28 br %16 31: br %32 32: %33 = load %i %34 = load %33 %35 = add %34 1 store %35, %33 br %10 36: %37 = load %c %38 = load %37 ret %38 Analyze Termination of all function calls matching the pattern: main() ---------------------------------------- (3) LLVMToTerminationGraphProof (EQUIVALENT) Constructed symbolic execution graph for LLVM program and proved memory safety. ---------------------------------------- (4) Obligation: SE Graph ---------------------------------------- (5) SymbolicExecutionGraphToSCCProof (SOUND) Splitted symbolic execution graph to 2 SCCs. ---------------------------------------- (6) Complex Obligation (AND) ---------------------------------------- (7) Obligation: SCC ---------------------------------------- (8) SCC2IRS (SOUND) Transformed LLVM symbolic execution graph SCC into a rewrite problem. Log: Generated rules. Obtained 43 rulesP rules: f_773(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 12, 0, 11, 10, v1615, v1616, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 3, 7, 9, 8, 4) -> f_774(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 0, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 7, 9, 8, 4) :|: 0 = 0 f_774(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 0, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 7, 9, 8, 4) -> f_775(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) :|: 0 = 0 f_775(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) -> f_776(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) :|: TRUE f_776(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) -> f_777(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: TRUE f_777(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1661, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_778(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1661, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 0 = 0 f_778(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1661, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_779(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 0 = 0 f_779(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_780(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 1 + v1675 = v1660 && 2 <= v1675 && v1675 <= 10 f_780(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_781(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: TRUE f_781(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_782(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 0 = 0 f_782(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_783(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: 0 = 0 f_783(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_784(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: v1677 = 1 + v1664 && 10 <= v1677 f_784(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_785(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: TRUE f_785(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_786(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: TRUE f_786(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_787(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: 0 = 0 f_787(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_788(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 6, 9, 11, 4, 12, 8, 2, 10) :|: 0 = 0 f_788(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 6, 9, 11, 4, 12, 8, 2, 10) -> f_789(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: v1686 = 2 + v1675 && 4 <= v1686 && v1686 <= 12 f_789(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_790(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: TRUE f_790(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_791(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: TRUE f_791(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_792(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: 0 = 0 f_792(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_793(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: 0 = 0 f_793(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_794(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) :|: v1686 < 12 && v1675 <= 9 && v1660 <= 10 f_793(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_795(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 9, 17, 8, 4, 18) :|: 12 <= v1686 && v1675 = 10 && v1686 = 12 && 0 = 0 && v1660 = 11 f_794(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) -> f_796(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) :|: 0 = 0 f_796(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) -> f_798(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) :|: TRUE f_798(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) -> f_777(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: TRUE f_795(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 9, 17, 8, 4, 18) -> f_797(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: 0 = 0 f_797(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_799(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: TRUE f_799(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_800(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: TRUE f_800(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_801(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: 0 = 0 f_801(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_802(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18) :|: 0 = 0 f_802(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18) -> f_803(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: v1764 = 1 + v1658 && 2 <= v1764 && v1764 <= 10 f_803(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_804(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: TRUE f_804(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_805(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: TRUE f_805(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_806(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: 0 = 0 f_806(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_807(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: 0 = 0 f_807(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_808(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: v1764 < 10 && v1658 <= 8 f_808(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_810(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: 0 = 0 f_810(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_812(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: TRUE f_812(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_814(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: 0 = 0 f_814(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_816(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: TRUE f_816(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_818(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: TRUE f_818(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_772(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 8, 4) :|: TRUE f_772(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 12, 0, 11, 10, v1615, v1616, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 3, 7, 9, 8, 4) -> f_773(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 12, 0, 11, 10, v1615, v1616, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 3, 7, 9, 8, 4) :|: 0 = 0 Combined rules. Obtained 2 rulesP rules: f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, v1658:0, 1, 12, 11, 10, v1664:0, v1677:0, v1666:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, 1 + v1658:0, 1, 4, 3, 2, v1677:0, 1 + v1677:0, v1658:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: v1658:0 > 0 && v1658:0 < 10 && v1677:0 > 8 && v1658:0 < 9 f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, v1658:0, 1, 1 + v1675:1, v1660:0, v1675:0, v1664:0, v1677:0, v1666:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, v1658:0, 1, 2 + v1675:1, 1 + v1675:1, v1675:1, v1677:0, 1 + v1677:0, v1666:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: v1675:1 > 1 && v1675:1 < 11 && v1677:0 > 8 && v1675:0 < 10 && v1660:0 < 11 Filtered unneeded arguments: f_793(x1, x2, x3, x4, x5, x6, x7, x8, x9, x10, x11, x12, x13, x14, x15, x16, x17, x18, x19, x20, x21, x22, x23, x24, x25, x26, x27, x28, x29, x30, x31, x32, x33) -> f_793(x8, x10, x11, x12, x14) Removed division, modulo operations, cleaned up constraints. Obtained 2 rules.P rules: f_793(v1658:0, cons_12, cons_11, cons_10, v1677:0) -> f_793(1 + v1658:0, 4, 3, 2, 1 + v1677:0) :|: v1658:0 < 10 && v1658:0 > 0 && v1658:0 < 9 && v1677:0 > 8 && cons_12 = 12 && cons_11 = 11 && cons_10 = 10 f_793(v1658:0, sum~cons_1~v1675:1, v1660:0, v1675:0, v1677:0) -> f_793(v1658:0, 2 + v1675:1, 1 + v1675:1, v1675:1, 1 + v1677:0) :|: v1675:1 < 11 && v1675:1 > 1 && v1677:0 > 8 && v1660:0 < 11 && v1675:0 < 10 && sum~cons_1~v1675:1 = 1 + v1675:1 ---------------------------------------- (9) Obligation: Rules: f_793(v1658:0, cons_12, cons_11, cons_10, v1677:0) -> f_793(1 + v1658:0, 4, 3, 2, 1 + v1677:0) :|: v1658:0 < 10 && v1658:0 > 0 && v1658:0 < 9 && v1677:0 > 8 && cons_12 = 12 && cons_11 = 11 && cons_10 = 10 f_793(x, x1, x2, x3, x4) -> f_793(x, 2 + x5, 1 + x5, x5, 1 + x4) :|: x5 < 11 && x5 > 1 && x4 > 8 && x2 < 11 && x3 < 10 && x1 = 1 + x5 ---------------------------------------- (10) IRS2T2 (EQUIVALENT) Transformed input IRS into an integer transition system.Used the following mapping from defined symbols to location IDs: (f_793_5,1) ---------------------------------------- (11) Obligation: START: 0; FROM: 0; TO: 1; FROM: 1; oldX0 := x0; oldX1 := x1; oldX2 := x2; oldX3 := x3; oldX4 := x4; assume(oldX0 < 10 && oldX0 > 0 && oldX0 < 9 && oldX4 > 8 && oldX1 = 12 && oldX2 = 11 && oldX3 = 10); x0 := 1 + oldX0; x1 := 4; x2 := 3; x3 := 2; x4 := 1 + oldX4; TO: 1; FROM: 1; oldX0 := x0; oldX1 := x1; oldX2 := x2; oldX3 := x3; oldX4 := x4; oldX5 := oldX1 - 1; assume(oldX5 < 11 && oldX5 > 1 && oldX4 > 8 && oldX2 < 11 && oldX3 < 10 && oldX1 = 1 + oldX5); x0 := oldX0; x1 := 2 + oldX5; x2 := 1 + oldX5; x3 := oldX1 - 1; x4 := 1 + oldX4; TO: 1; ---------------------------------------- (12) T2 (EQUIVALENT) Initially, performed program simplifications using lexicographic rank functions: * Removed transitions 1, 4, 5 using the following rank functions: - Rank function 1: RF for loc. 5: 1-18*x0-2*x1 RF for loc. 6: -18*x0-2*x1 Bound for (chained) transitions 4: -168 - Rank function 2: RF for loc. 5: -2*x1 RF for loc. 6: -1-2*x1 Bound for (chained) transitions 5: -23 - Rank function 3: RF for loc. 5: 0 RF for loc. 6: -1 Bound for (chained) transitions 1: 0 ---------------------------------------- (13) YES ---------------------------------------- (14) Obligation: SCC ---------------------------------------- (15) SCC2IRS (SOUND) Transformed LLVM symbolic execution graph SCC into a rewrite problem. Log: Generated rules. Obtained 20 rulesP rules: f_371(v198, v199, v200, v201, v202, v203, v204, 0, 1, v207, v208, v209, v210, v211, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_372(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: 0 = 0 f_372(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_373(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: v211 < 12 && v208 <= 9 && v207 <= 10 && v209 <= 7 && v210 <= 8 f_373(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_375(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: 0 = 0 f_375(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_377(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: TRUE f_377(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_379(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: 0 = 0 f_379(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_381(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11) :|: 0 = 0 f_381(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11) -> f_383(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 1 + v229 = v211 && 3 <= v229 && v229 <= 10 f_383(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_385(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: TRUE f_385(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_387(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 f_387(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_389(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 f_389(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_391(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: v208 = 1 + v210 f_391(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_393(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: TRUE f_393(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_395(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: TRUE f_395(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_397(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 f_397(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_399(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 f_399(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_401(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) :|: v232 = 2 + v229 && 5 <= v232 && v232 <= 12 f_401(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) -> f_403(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) :|: TRUE f_403(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) -> f_405(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) :|: TRUE f_405(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) -> f_370(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: TRUE f_370(v198, v199, v200, v201, v202, v203, v204, 0, 1, v207, v208, v209, v210, v211, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_371(v198, v199, v200, v201, v202, v203, v204, 0, 1, v207, v208, v209, v210, v211, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: 0 = 0 Combined rules. Obtained 1 rulesP rules: f_371(v198:0, v199:0, v200:0, v201:0, v202:0, v203:0, v204:0, 0, 1, v207:0, 1 + v210:0, v209:0, v210:0, 1 + v229:0, v212:0, v213:0, v214:0, v215:0, v216:0, v217:0, v218:0, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_371(v198:0, v199:0, v200:0, v201:0, v202:0, v203:0, v204:0, 0, 1, 1 + v229:0, v229:0, v210:0, 1 + v210:0, 2 + v229:0, v212:0, v213:0, v214:0, v215:0, v216:0, v217:0, v218:0, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: v210:0 < 9 && v229:0 < 11 && v207:0 < 11 && v209:0 < 8 && v229:0 > 2 Filtered unneeded arguments: f_371(x1, x2, x3, x4, x5, x6, x7, x8, x9, x10, x11, x12, x13, x14, x15, x16, x17, x18, x19, x20, x21, x22, x23, x24, x25, x26, x27, x28, x29, x30) -> f_371(x10, x11, x12, x13, x14) Removed division, modulo operations, cleaned up constraints. Obtained 1 rules.P rules: f_371(v207:0, sum~cons_1~v210:0, v209:0, v210:0, sum~cons_1~v229:0) -> f_371(1 + v229:0, v229:0, v210:0, 1 + v210:0, 2 + v229:0) :|: v229:0 < 11 && v210:0 < 9 && v207:0 < 11 && v229:0 > 2 && v209:0 < 8 && sum~cons_1~v210:0 = 1 + v210:0 && sum~cons_1~v229:0 = 1 + v229:0 ---------------------------------------- (16) Obligation: Rules: f_371(v207:0, sum~cons_1~v210:0, v209:0, v210:0, sum~cons_1~v229:0) -> f_371(1 + v229:0, v229:0, v210:0, 1 + v210:0, 2 + v229:0) :|: v229:0 < 11 && v210:0 < 9 && v207:0 < 11 && v229:0 > 2 && v209:0 < 8 && sum~cons_1~v210:0 = 1 + v210:0 && sum~cons_1~v229:0 = 1 + v229:0 ---------------------------------------- (17) IntTRSCompressionProof (EQUIVALENT) Compressed rules. ---------------------------------------- (18) Obligation: Rules: f_371(v207:0:0, sum~cons_1~v210:0:0, v209:0:0, v210:0:0, sum~cons_1~v229:0:0) -> f_371(1 + v229:0:0, v229:0:0, v210:0:0, 1 + v210:0:0, 2 + v229:0:0) :|: v229:0:0 > 2 && v209:0:0 < 8 && v207:0:0 < 11 && v210:0:0 < 9 && v229:0:0 < 11 && sum~cons_1~v210:0:0 = 1 + v210:0:0 && sum~cons_1~v229:0:0 = 1 + v229:0:0 ---------------------------------------- (19) RankingReductionPairProof (EQUIVALENT) Interpretation: [ f_371 ] = -1*f_371_5 The following rules are decreasing: f_371(v207:0:0, sum~cons_1~v210:0:0, v209:0:0, v210:0:0, sum~cons_1~v229:0:0) -> f_371(1 + v229:0:0, v229:0:0, v210:0:0, 1 + v210:0:0, 2 + v229:0:0) :|: v229:0:0 > 2 && v209:0:0 < 8 && v207:0:0 < 11 && v210:0:0 < 9 && v229:0:0 < 11 && sum~cons_1~v210:0:0 = 1 + v210:0:0 && sum~cons_1~v229:0:0 = 1 + v229:0:0 The following rules are bounded: f_371(v207:0:0, sum~cons_1~v210:0:0, v209:0:0, v210:0:0, sum~cons_1~v229:0:0) -> f_371(1 + v229:0:0, v229:0:0, v210:0:0, 1 + v210:0:0, 2 + v229:0:0) :|: v229:0:0 > 2 && v209:0:0 < 8 && v207:0:0 < 11 && v210:0:0 < 9 && v229:0:0 < 11 && sum~cons_1~v210:0:0 = 1 + v210:0:0 && sum~cons_1~v229:0:0 = 1 + v229:0:0 ---------------------------------------- (20) YES