43.35/13.29 YES 43.62/13.31 proof of /export/starexec/sandbox/benchmark/theBenchmark.c 43.62/13.31 # AProVE Commit ID: 48fb2092695e11cc9f56e44b17a92a5f88ffb256 marcel 20180622 unpublished dirty 43.62/13.31 43.62/13.31 43.62/13.31 Termination of the given C Problem could be proven: 43.62/13.31 43.62/13.31 (0) C Problem 43.62/13.31 (1) CToLLVMProof [EQUIVALENT, 166 ms] 43.62/13.31 (2) LLVM problem 43.62/13.31 (3) LLVMToTerminationGraphProof [EQUIVALENT, 6141 ms] 43.62/13.31 (4) LLVM Symbolic Execution Graph 43.62/13.31 (5) SymbolicExecutionGraphToSCCProof [SOUND, 0 ms] 43.62/13.31 (6) LLVM Symbolic Execution SCC 43.62/13.31 (7) SCC2IRS [SOUND, 130 ms] 43.62/13.31 (8) IntTRS 43.62/13.31 (9) IntTRSCompressionProof [EQUIVALENT, 0 ms] 43.62/13.31 (10) IntTRS 43.62/13.31 (11) PolynomialOrderProcessor [EQUIVALENT, 15 ms] 43.62/13.31 (12) IntTRS 43.62/13.31 (13) IntTRSCompressionProof [EQUIVALENT, 0 ms] 43.62/13.31 (14) IntTRS 43.62/13.31 (15) RankingReductionPairProof [EQUIVALENT, 4 ms] 43.62/13.31 (16) YES 43.62/13.31 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (0) 43.62/13.31 Obligation: 43.62/13.31 c file /export/starexec/sandbox/benchmark/theBenchmark.c 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (1) CToLLVMProof (EQUIVALENT) 43.62/13.31 Compiled c-file /export/starexec/sandbox/benchmark/theBenchmark.c to LLVM. 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (2) 43.62/13.31 Obligation: 43.62/13.31 LLVM Problem 43.62/13.31 43.62/13.31 Aliases: 43.62/13.31 43.62/13.31 Data layout: 43.62/13.31 43.62/13.31 "e-p:64:64:64-i1:8:8-i8:8:8-i16:16:16-i32:32:32-i64:64:64-f32:32:32-f64:64:64-v64:64:64-v128:128:128-a0:0:64-s0:64:64-f80:128:128-n8:16:32:64-S128" 43.62/13.31 43.62/13.31 Machine: 43.62/13.31 43.62/13.31 "x86_64-pc-linux-gnu" 43.62/13.31 43.62/13.31 Type definitions: 43.62/13.31 43.62/13.31 Global variables: 43.62/13.31 43.62/13.31 Function declarations and definitions: 43.62/13.31 43.62/13.31 *BasicFunctionTypename: "__VERIFIER_nondet_int" returnParam: i32 parameters: () variableLength: false visibilityType: DEFAULT callingConvention: ccc 43.62/13.31 *BasicFunctionTypename: "main" linkageType: EXTERNALLY_VISIBLE returnParam: i32 parameters: () variableLength: false visibilityType: DEFAULT callingConvention: ccc 43.62/13.31 0: 43.62/13.31 %1 = alloca i32, align 4 43.62/13.31 %x = alloca i32, align 4 43.62/13.31 %y = alloca i32, align 4 43.62/13.31 %z = alloca i32, align 4 43.62/13.31 store 0, %1 43.62/13.31 %2 = call i32 @__VERIFIER_nondet_int() 43.62/13.31 store %2, %x 43.62/13.31 %3 = call i32 @__VERIFIER_nondet_int() 43.62/13.31 store %3, %y 43.62/13.31 %4 = call i32 @__VERIFIER_nondet_int() 43.62/13.31 store %4, %z 43.62/13.31 %5 = call i32 @random() 43.62/13.31 %6 = call i32 @random() 43.62/13.31 %7 = call i32 @average(i32 %5, i32 %6) 43.62/13.31 %8 = load %1 43.62/13.31 ret %8 43.62/13.31 43.62/13.31 *BasicFunctionTypename: "average" linkageType: EXTERNALLY_VISIBLE returnParam: i32 parameters: (x i32, y i32) variableLength: false visibilityType: DEFAULT callingConvention: ccc 43.62/13.31 0: 43.62/13.31 %1 = alloca i32, align 4 43.62/13.31 %2 = alloca i32, align 4 43.62/13.31 %3 = alloca i32, align 4 43.62/13.31 store %x, %2 43.62/13.31 store %y, %3 43.62/13.31 %4 = load %2 43.62/13.31 %5 = icmp sgt %4 0 43.62/13.31 br %5, %6, %12 43.62/13.31 6: 43.62/13.31 %7 = load %2 43.62/13.31 %8 = sub %7 1 43.62/13.31 %9 = load %3 43.62/13.31 %10 = add %9 1 43.62/13.31 %11 = call i32 @average(i32 %8, i32 %10) 43.62/13.31 store %11, %1 43.62/13.31 br %23 43.62/13.31 12: 43.62/13.31 %13 = load %3 43.62/13.31 %14 = icmp sgt %13 2 43.62/13.31 br %14, %15, %22 43.62/13.31 15: 43.62/13.31 %16 = load %2 43.62/13.31 %17 = add %16 1 43.62/13.31 %18 = load %3 43.62/13.31 %19 = sub %18 2 43.62/13.31 %20 = call i32 @average(i32 %17, i32 %19) 43.62/13.31 %21 = add 1 %20 43.62/13.31 store %21, %1 43.62/13.31 br %23 43.62/13.31 22: 43.62/13.31 store 1, %1 43.62/13.31 br %23 43.62/13.31 23: 43.62/13.31 %24 = load %1 43.62/13.31 ret %24 43.62/13.31 43.62/13.31 *BasicFunctionTypename: "random" linkageType: EXTERNALLY_VISIBLE returnParam: i32 parameters: () variableLength: false visibilityType: DEFAULT callingConvention: ccc 43.62/13.31 0: 43.62/13.31 %1 = alloca i32, align 4 43.62/13.31 %x = alloca i32, align 4 43.62/13.31 %2 = call i32 @__VERIFIER_nondet_int() 43.62/13.31 store %2, %x 43.62/13.31 %3 = load %x 43.62/13.31 %4 = icmp slt %3 0 43.62/13.31 br %4, %5, %8 43.62/13.31 5: 43.62/13.31 %6 = load %x 43.62/13.31 %7 = sub 0 %6 43.62/13.31 store %7, %1 43.62/13.31 br %10 43.62/13.31 8: 43.62/13.31 %9 = load %x 43.62/13.31 store %9, %1 43.62/13.31 br %10 43.62/13.31 10: 43.62/13.31 %11 = load %1 43.62/13.31 ret %11 43.62/13.31 43.62/13.31 43.62/13.31 Analyze Termination of all function calls matching the pattern: 43.62/13.31 main() 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (3) LLVMToTerminationGraphProof (EQUIVALENT) 43.62/13.31 Constructed symbolic execution graph for LLVM program and proved memory safety. 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (4) 43.62/13.31 Obligation: 43.62/13.31 SE Graph 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (5) SymbolicExecutionGraphToSCCProof (SOUND) 43.62/13.31 Splitted symbolic execution graph to 1 SCC. 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (6) 43.62/13.31 Obligation: 43.62/13.31 SCC 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (7) SCC2IRS (SOUND) 43.62/13.31 Transformed LLVM symbolic execution graph SCC into a rewrite problem. Log: 43.62/13.31 Generated rules. Obtained 30 rulesP rules: 43.62/13.31 f_254(v19, v41, v71, v1, v2, v3, v4, v5, v6, v7, v8, v72, 0, v9, v11, v13, 3, 1, 4) -> f_255(v19, v41, v71, v73, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, 0, v9, v11, v13, 3, 1, 4) :|: 1 <= v73 && v74 = 3 + v73 && 4 <= v74 43.62/13.31 f_255(v19, v41, v71, v73, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, 0, v9, v11, v13, 3, 1, 4) -> f_256(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) :|: 1 <= v75 && v76 = 3 + v75 && 4 <= v76 43.62/13.31 f_256(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) -> f_257(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) :|: TRUE 43.62/13.31 f_257(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) -> f_258(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) :|: TRUE 43.62/13.31 f_258(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) -> f_259(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) :|: 0 = 0 43.62/13.31 f_259(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) -> f_260(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) :|: 0 < v19 43.62/13.31 f_259(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) -> f_261(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) :|: v19 <= 0 43.62/13.31 f_260(v19, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 1, 4) -> f_262(v19, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) :|: 0 = 0 43.62/13.31 f_262(v19, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) -> f_264(v19, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) :|: TRUE 43.62/13.31 f_264(v19, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) -> f_266(v19, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) :|: 0 = 0 43.62/13.31 f_266(v19, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) -> f_268(v19, v41, v71, v73, v75, 1, v79, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) :|: 1 + v79 = v19 && 0 <= v79 43.62/13.31 f_268(v19, v41, v71, v73, v75, 1, v79, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) -> f_271(v19, v41, v71, v73, v75, 1, v79, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) :|: 0 = 0 43.62/13.31 f_271(v19, v41, v71, v73, v75, 1, v79, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) -> f_274(v19, v41, v71, v73, v75, 1, v79, v80, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) :|: v80 = 1 + v41 && 1 <= v80 43.62/13.31 f_274(v19, v41, v71, v73, v75, 1, v79, v80, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, 0, v9, v11, v13, 3, 4) -> f_277(v79, v80, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v19, v41, 1, 3, 4) :|: 0 = 0 43.62/13.31 f_277(v79, v80, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v19, v41, 1, 3, 4) -> f_280(v79, v80, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v19, v41, 3, 1, 4) :|: TRUE 43.62/13.31 f_280(v79, v80, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v19, v41, 3, 1, 4) -> f_252(v79, v80, v1, v2, v3, v4, v5, v6, v7, v8, 0, v9, v11, v13, 3, 1, 4) :|: TRUE 43.62/13.31 f_252(v19, v41, v1, v2, v3, v4, v5, v6, v7, v8, 0, v9, v11, v13, 3, 1, 4) -> f_254(v19, v41, v71, v1, v2, v3, v4, v5, v6, v7, v8, v72, 0, v9, v11, v13, 3, 1, 4) :|: 1 <= v71 && v72 = 3 + v71 && 4 <= v72 43.62/13.31 f_261(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) -> f_263(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) :|: 0 = 0 43.62/13.31 f_263(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) -> f_265(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) :|: TRUE 43.62/13.31 f_265(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) -> f_267(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) :|: 0 = 0 43.62/13.31 f_267(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) -> f_269(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) :|: 2 < v41 43.62/13.31 f_269(0, v41, v71, v73, v75, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 1, 4) -> f_272(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) :|: 0 = 0 43.62/13.31 f_272(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) -> f_275(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) :|: TRUE 43.62/13.31 f_275(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) -> f_278(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) :|: 0 = 0 43.62/13.31 f_278(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) -> f_281(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) :|: 0 = 0 43.62/13.31 f_281(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) -> f_283(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) :|: 0 = 0 43.62/13.31 f_283(0, v41, v71, v73, v75, 1, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 4) -> f_285(0, v41, v71, v73, v75, 1, v95, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 2, 4) :|: 2 + v95 = v41 && 1 <= v95 43.62/13.31 f_285(0, v41, v71, v73, v75, 1, v95, v1, v2, v3, v4, v5, v6, v7, v8, v72, v74, v76, v9, v11, v13, 3, 2, 4) -> f_289(1, v95, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v41, 3, 2, 4) :|: 0 = 0 43.62/13.31 f_289(1, v95, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v41, 3, 2, 4) -> f_293(1, v95, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v41, 3, 2, 4) :|: TRUE 43.62/13.31 f_293(1, v95, v1, v2, v3, v4, v5, v6, v7, v8, v71, v72, v73, v74, v75, v76, 0, v9, v11, v13, v41, 3, 2, 4) -> f_252(1, v95, v1, v2, v3, v4, v5, v6, v7, v8, 0, v9, v11, v13, 3, 1, 4) :|: TRUE 43.62/13.31 Combined rules. Obtained 2 rulesP rules: 43.62/13.31 f_254(v19:0, 2 + v95:0, v71:0, v1:0, v2:0, v3:0, v4:0, v5:0, v6:0, v7:0, v8:0, v72:0, 0, v9:0, v11:0, v13:0, 3, 1, 4) -> f_254(1, v95:0, v71:1, v1:0, v2:0, v3:0, v4:0, v5:0, v6:0, v7:0, v8:0, 3 + v71:1, 0, v9:0, v11:0, v13:0, 3, 1, 4) :|: v75:0 > 0 && v73:0 > 0 && v19:0 < 1 && v95:0 > 0 && v71:1 > 0 43.62/13.31 f_254(1 + v79:0, v41:0, v71:0, v1:0, v2:0, v3:0, v4:0, v5:0, v6:0, v7:0, v8:0, v72:0, 0, v9:0, v11:0, v13:0, 3, 1, 4) -> f_254(v79:0, 1 + v41:0, v71:1, v1:0, v2:0, v3:0, v4:0, v5:0, v6:0, v7:0, v8:0, 3 + v71:1, 0, v9:0, v11:0, v13:0, 3, 1, 4) :|: v75:0 > 0 && v73:0 > 0 && v79:0 > -1 && v41:0 > -1 && v71:1 > 0 43.62/13.31 Filtered unneeded arguments: 43.62/13.31 f_254(x1, x2, x3, x4, x5, x6, x7, x8, x9, x10, x11, x12, x13, x14, x15, x16, x17, x18, x19) -> f_254(x1, x2) 43.62/13.31 Removed division, modulo operations, cleaned up constraints. Obtained 2 rules.P rules: 43.62/13.31 f_254(v19:0, sum~cons_2~v95:0) -> f_254(1, v95:0) :|: v19:0 < 1 && v95:0 > 0 && sum~cons_2~v95:0 = 2 + v95:0 43.62/13.31 f_254(sum~cons_1~v79:0, v41:0) -> f_254(v79:0, 1 + v41:0) :|: v79:0 > -1 && v41:0 > -1 && sum~cons_1~v79:0 = 1 + v79:0 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (8) 43.62/13.31 Obligation: 43.62/13.31 Rules: 43.62/13.31 f_254(v19:0, sum~cons_2~v95:0) -> f_254(1, v95:0) :|: v19:0 < 1 && v95:0 > 0 && sum~cons_2~v95:0 = 2 + v95:0 43.62/13.31 f_254(sum~cons_1~v79:0, v41:0) -> f_254(v79:0, 1 + v41:0) :|: v79:0 > -1 && v41:0 > -1 && sum~cons_1~v79:0 = 1 + v79:0 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (9) IntTRSCompressionProof (EQUIVALENT) 43.62/13.31 Compressed rules. 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (10) 43.62/13.31 Obligation: 43.62/13.31 Rules: 43.62/13.31 f_254(v19:0:0, sum~cons_2~v95:0:0) -> f_254(1, v95:0:0) :|: v19:0:0 < 1 && v95:0:0 > 0 && sum~cons_2~v95:0:0 = 2 + v95:0:0 43.62/13.31 f_254(sum~cons_1~v79:0:0, v41:0:0) -> f_254(v79:0:0, 1 + v41:0:0) :|: v79:0:0 > -1 && v41:0:0 > -1 && sum~cons_1~v79:0:0 = 1 + v79:0:0 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (11) PolynomialOrderProcessor (EQUIVALENT) 43.62/13.31 Found the following polynomial interpretation: 43.62/13.31 [f_254(x, x1)] = x^2 + x1 43.62/13.31 43.62/13.31 The following rules are decreasing: 43.62/13.31 f_254(v19:0:0, sum~cons_2~v95:0:0) -> f_254(1, v95:0:0) :|: v19:0:0 < 1 && v95:0:0 > 0 && sum~cons_2~v95:0:0 = 2 + v95:0:0 43.62/13.31 The following rules are bounded: 43.62/13.31 f_254(v19:0:0, sum~cons_2~v95:0:0) -> f_254(1, v95:0:0) :|: v19:0:0 < 1 && v95:0:0 > 0 && sum~cons_2~v95:0:0 = 2 + v95:0:0 43.62/13.31 f_254(sum~cons_1~v79:0:0, v41:0:0) -> f_254(v79:0:0, 1 + v41:0:0) :|: v79:0:0 > -1 && v41:0:0 > -1 && sum~cons_1~v79:0:0 = 1 + v79:0:0 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (12) 43.62/13.31 Obligation: 43.62/13.31 Rules: 43.62/13.31 f_254(sum~cons_1~v79:0:0, v41:0:0) -> f_254(v79:0:0, 1 + v41:0:0) :|: v79:0:0 > -1 && v41:0:0 > -1 && sum~cons_1~v79:0:0 = 1 + v79:0:0 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (13) IntTRSCompressionProof (EQUIVALENT) 43.62/13.31 Compressed rules. 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (14) 43.62/13.31 Obligation: 43.62/13.31 Rules: 43.62/13.31 f_254(sum~cons_1~v79:0:0:0, v41:0:0:0) -> f_254(v79:0:0:0, 1 + v41:0:0:0) :|: v79:0:0:0 > -1 && v41:0:0:0 > -1 && sum~cons_1~v79:0:0:0 = 1 + v79:0:0:0 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (15) RankingReductionPairProof (EQUIVALENT) 43.62/13.31 Interpretation: 43.62/13.31 [ f_254 ] = f_254_1 43.62/13.31 43.62/13.31 The following rules are decreasing: 43.62/13.31 f_254(sum~cons_1~v79:0:0:0, v41:0:0:0) -> f_254(v79:0:0:0, 1 + v41:0:0:0) :|: v79:0:0:0 > -1 && v41:0:0:0 > -1 && sum~cons_1~v79:0:0:0 = 1 + v79:0:0:0 43.62/13.31 43.62/13.31 The following rules are bounded: 43.62/13.31 f_254(sum~cons_1~v79:0:0:0, v41:0:0:0) -> f_254(v79:0:0:0, 1 + v41:0:0:0) :|: v79:0:0:0 > -1 && v41:0:0:0 > -1 && sum~cons_1~v79:0:0:0 = 1 + v79:0:0:0 43.62/13.31 43.62/13.31 43.62/13.31 ---------------------------------------- 43.62/13.31 43.62/13.31 (16) 43.62/13.31 YES 43.69/13.37 EOF