39.37/14.13 YES 39.37/14.14 proof of /export/starexec/sandbox/benchmark/theBenchmark.c 39.37/14.14 # AProVE Commit ID: 48fb2092695e11cc9f56e44b17a92a5f88ffb256 marcel 20180622 unpublished dirty 39.37/14.14 39.37/14.14 39.37/14.14 Termination of the given C Problem could be proven: 39.37/14.14 39.37/14.14 (0) C Problem 39.37/14.14 (1) CToLLVMProof [EQUIVALENT, 170 ms] 39.37/14.14 (2) LLVM problem 39.37/14.14 (3) LLVMToTerminationGraphProof [EQUIVALENT, 9063 ms] 39.37/14.14 (4) LLVM Symbolic Execution Graph 39.37/14.14 (5) SymbolicExecutionGraphToSCCProof [SOUND, 2 ms] 39.37/14.14 (6) AND 39.37/14.14 (7) LLVM Symbolic Execution SCC 39.37/14.14 (8) SCC2IRS [SOUND, 91 ms] 39.37/14.14 (9) IntTRS 39.37/14.14 (10) IRS2T2 [EQUIVALENT, 0 ms] 39.37/14.14 (11) T2IntSys 39.37/14.14 (12) T2 [EQUIVALENT, 1243 ms] 39.37/14.14 (13) YES 39.37/14.14 (14) LLVM Symbolic Execution SCC 39.37/14.14 (15) SCC2IRS [SOUND, 115 ms] 39.37/14.14 (16) IntTRS 39.37/14.14 (17) IntTRSCompressionProof [EQUIVALENT, 0 ms] 39.37/14.14 (18) IntTRS 39.37/14.14 (19) PolynomialOrderProcessor [EQUIVALENT, 16 ms] 39.37/14.14 (20) YES 39.37/14.14 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (0) 39.37/14.14 Obligation: 39.37/14.14 c file /export/starexec/sandbox/benchmark/theBenchmark.c 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (1) CToLLVMProof (EQUIVALENT) 39.37/14.14 Compiled c-file /export/starexec/sandbox/benchmark/theBenchmark.c to LLVM. 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (2) 39.37/14.14 Obligation: 39.37/14.14 LLVM Problem 39.37/14.14 39.37/14.14 Aliases: 39.37/14.14 39.37/14.14 Data layout: 39.37/14.14 39.37/14.14 "e-p:64:64:64-i1:8:8-i8:8:8-i16:16:16-i32:32:32-i64:64:64-f32:32:32-f64:64:64-v64:64:64-v128:128:128-a0:0:64-s0:64:64-f80:128:128-n8:16:32:64-S128" 39.37/14.14 39.37/14.14 Machine: 39.37/14.14 39.37/14.14 "x86_64-pc-linux-gnu" 39.37/14.14 39.37/14.14 Type definitions: 39.37/14.14 39.37/14.14 Global variables: 39.37/14.14 39.37/14.14 Function declarations and definitions: 39.37/14.14 39.37/14.14 *BasicFunctionTypename: "main" linkageType: EXTERNALLY_VISIBLE returnParam: i32 parameters: () variableLength: false visibilityType: DEFAULT callingConvention: ccc 39.37/14.14 0: 39.37/14.14 %1 = alloca i32, align 4 39.37/14.14 %i = alloca *i32, align 8 39.37/14.14 %j = alloca *i32, align 8 39.37/14.14 %c = alloca *i32, align 8 39.37/14.14 store 0, %1 39.37/14.14 %2 = alloca i8, numElementsLit: 4 39.37/14.14 %3 = bitcast *i8 %2 to *i32 39.37/14.14 store %3, %i 39.37/14.14 %4 = alloca i8, numElementsLit: 4 39.37/14.14 %5 = bitcast *i8 %4 to *i32 39.37/14.14 store %5, %j 39.37/14.14 %6 = alloca i8, numElementsLit: 4 39.37/14.14 %7 = bitcast *i8 %6 to *i32 39.37/14.14 store %7, %c 39.37/14.14 %8 = load %c 39.37/14.14 store 0, %8 39.37/14.14 %9 = load %i 39.37/14.14 store 0, %9 39.37/14.14 br %10 39.37/14.14 10: 39.37/14.14 %11 = load %i 39.37/14.14 %12 = load %11 39.37/14.14 %13 = icmp slt %12 10 39.37/14.14 br %13, %14, %36 39.37/14.14 14: 39.37/14.14 %15 = load %j 39.37/14.14 store 3, %15 39.37/14.14 br %16 39.37/14.14 16: 39.37/14.14 %17 = load %j 39.37/14.14 %18 = load %17 39.37/14.14 %19 = icmp slt %18 12 39.37/14.14 br %19, %20, %31 39.37/14.14 20: 39.37/14.14 %21 = load %j 39.37/14.14 %22 = load %21 39.37/14.14 %23 = sub %22 1 39.37/14.14 store %23, %21 39.37/14.14 %24 = load %c 39.37/14.14 %25 = load %24 39.37/14.14 %26 = add %25 1 39.37/14.14 store %26, %24 39.37/14.14 br %27 39.37/14.14 27: 39.37/14.14 %28 = load %j 39.37/14.14 %29 = load %28 39.37/14.14 %30 = add %29 2 39.37/14.14 store %30, %28 39.37/14.14 br %16 39.37/14.14 31: 39.37/14.14 br %32 39.37/14.14 32: 39.37/14.14 %33 = load %i 39.37/14.14 %34 = load %33 39.37/14.14 %35 = add %34 1 39.37/14.14 store %35, %33 39.37/14.14 br %10 39.37/14.14 36: 39.37/14.14 %37 = load %c 39.37/14.14 %38 = load %37 39.37/14.14 ret %38 39.37/14.14 39.37/14.14 39.37/14.14 Analyze Termination of all function calls matching the pattern: 39.37/14.14 main() 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (3) LLVMToTerminationGraphProof (EQUIVALENT) 39.37/14.14 Constructed symbolic execution graph for LLVM program and proved memory safety. 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (4) 39.37/14.14 Obligation: 39.37/14.14 SE Graph 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (5) SymbolicExecutionGraphToSCCProof (SOUND) 39.37/14.14 Splitted symbolic execution graph to 2 SCCs. 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (6) 39.37/14.14 Complex Obligation (AND) 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (7) 39.37/14.14 Obligation: 39.37/14.14 SCC 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (8) SCC2IRS (SOUND) 39.37/14.14 Transformed LLVM symbolic execution graph SCC into a rewrite problem. Log: 39.37/14.14 Generated rules. Obtained 43 rulesP rules: 39.37/14.14 f_773(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 12, 0, 11, 10, v1615, v1616, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 3, 7, 9, 8, 4) -> f_774(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 0, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 7, 9, 8, 4) :|: 0 = 0 39.37/14.14 f_774(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 0, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 7, 9, 8, 4) -> f_775(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) :|: 0 = 0 39.37/14.14 f_775(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) -> f_776(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) :|: TRUE 39.37/14.14 f_776(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 7, 9, 8, 4) -> f_777(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 3, 11, 10, v1615, v1616, 12, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: TRUE 39.37/14.14 f_777(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1661, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_778(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1661, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 0 = 0 39.37/14.14 f_778(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1661, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_779(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 0 = 0 39.37/14.14 f_779(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1662, v1663, v1664, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_780(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 1 + v1675 = v1660 && 2 <= v1675 && v1675 <= 10 39.37/14.14 f_780(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_781(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: TRUE 39.37/14.14 f_781(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_782(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: 0 = 0 39.37/14.14 f_782(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1663, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) -> f_783(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: 0 = 0 39.37/14.14 f_783(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_784(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: v1677 = 1 + v1664 && 10 <= v1677 39.37/14.14 f_784(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_785(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: TRUE 39.37/14.14 f_785(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_786(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: TRUE 39.37/14.14 f_786(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_787(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) :|: 0 = 0 39.37/14.14 f_787(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1662, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 10, 4, 12, 8) -> f_788(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 6, 9, 11, 4, 12, 8, 2, 10) :|: 0 = 0 39.37/14.14 f_788(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1665, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 6, 9, 11, 4, 12, 8, 2, 10) -> f_789(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: v1686 = 2 + v1675 && 4 <= v1686 && v1686 <= 12 39.37/14.14 f_789(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_790(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: TRUE 39.37/14.14 f_790(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_791(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: TRUE 39.37/14.14 f_791(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_792(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: 0 = 0 39.37/14.14 f_792(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_793(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: 0 = 0 39.37/14.14 f_793(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_794(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) :|: v1686 < 12 && v1675 <= 9 && v1660 <= 10 39.37/14.14 f_793(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_795(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 9, 17, 8, 4, 18) :|: 12 <= v1686 && v1675 = 10 && v1686 = 12 && 0 = 0 && v1660 = 11 39.37/14.14 f_794(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) -> f_796(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) :|: 0 = 0 39.37/14.14 f_796(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) -> f_798(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) :|: TRUE 39.37/14.14 f_798(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 6, 9, 10, 8, 4, 11) -> f_777(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, v1686, v1660, v1675, v1664, v1677, v1686, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 2, 5, 9, 11, 10, 8, 4, 12) :|: TRUE 39.37/14.14 f_795(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 0, 3, 7, 9, 17, 8, 4, 18) -> f_797(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: 0 = 0 39.37/14.14 f_797(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_799(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: TRUE 39.37/14.14 f_799(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_800(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: TRUE 39.37/14.14 f_800(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_801(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) :|: 0 = 0 39.37/14.14 f_801(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1666, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 8, 4, 18) -> f_802(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18) :|: 0 = 0 39.37/14.14 f_802(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18) -> f_803(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: v1764 = 1 + v1658 && 2 <= v1764 && v1764 <= 10 39.37/14.14 f_803(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_804(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: TRUE 39.37/14.14 f_804(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_805(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: TRUE 39.37/14.14 f_805(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_806(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: 0 = 0 39.37/14.14 f_806(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1658, 1, 12, 0, 11, 10, v1664, v1677, v1764, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_807(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) :|: 0 = 0 39.37/14.14 f_807(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 17, 4, 8, 18, 2) -> f_808(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: v1764 < 10 && v1658 <= 8 39.37/14.14 f_808(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_810(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: 0 = 0 39.37/14.14 f_810(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_812(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: TRUE 39.37/14.14 f_812(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_814(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: 0 = 0 39.37/14.14 f_814(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_816(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: TRUE 39.37/14.14 f_816(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_818(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) :|: TRUE 39.37/14.14 f_818(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 8, 17, 4, 18, 2, 9) -> f_772(v1651, v1652, v1653, v1654, v1655, v1656, v1657, v1764, 1, 12, 0, 11, 10, v1664, v1677, v1658, v1667, v1668, v1669, v1670, v1671, v1672, v1673, 3, 7, 9, 8, 4) :|: TRUE 39.37/14.14 f_772(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 12, 0, 11, 10, v1615, v1616, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 3, 7, 9, 8, 4) -> f_773(v1602, v1603, v1604, v1605, v1606, v1607, v1608, v1609, 1, 12, 0, 11, 10, v1615, v1616, v1617, v1618, v1619, v1620, v1621, v1622, v1623, v1624, 3, 7, 9, 8, 4) :|: 0 = 0 39.37/14.14 Combined rules. Obtained 2 rulesP rules: 39.37/14.14 f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, v1658:0, 1, 12, 11, 10, v1664:0, v1677:0, v1666:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, 1 + v1658:0, 1, 4, 3, 2, v1677:0, 1 + v1677:0, v1658:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: v1658:0 > 0 && v1658:0 < 10 && v1677:0 > 8 && v1658:0 < 9 39.37/14.14 f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, v1658:0, 1, 1 + v1675:1, v1660:0, v1675:0, v1664:0, v1677:0, v1666:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) -> f_793(v1651:0, v1652:0, v1653:0, v1654:0, v1655:0, v1656:0, v1657:0, v1658:0, 1, 2 + v1675:1, 1 + v1675:1, v1675:1, v1677:0, 1 + v1677:0, v1666:0, v1667:0, v1668:0, v1669:0, v1670:0, v1671:0, v1672:0, v1673:0, 0, 3, 7, 2, 6, 9, 11, 8, 4, 10, 12) :|: v1675:1 > 1 && v1675:1 < 11 && v1677:0 > 8 && v1675:0 < 10 && v1660:0 < 11 39.37/14.14 Filtered unneeded arguments: 39.37/14.14 f_793(x1, x2, x3, x4, x5, x6, x7, x8, x9, x10, x11, x12, x13, x14, x15, x16, x17, x18, x19, x20, x21, x22, x23, x24, x25, x26, x27, x28, x29, x30, x31, x32, x33) -> f_793(x8, x10, x11, x12, x14) 39.37/14.14 Removed division, modulo operations, cleaned up constraints. Obtained 2 rules.P rules: 39.37/14.14 f_793(v1658:0, cons_12, cons_11, cons_10, v1677:0) -> f_793(1 + v1658:0, 4, 3, 2, 1 + v1677:0) :|: v1658:0 < 10 && v1658:0 > 0 && v1658:0 < 9 && v1677:0 > 8 && cons_12 = 12 && cons_11 = 11 && cons_10 = 10 39.37/14.14 f_793(v1658:0, sum~cons_1~v1675:1, v1660:0, v1675:0, v1677:0) -> f_793(v1658:0, 2 + v1675:1, 1 + v1675:1, v1675:1, 1 + v1677:0) :|: v1675:1 < 11 && v1675:1 > 1 && v1677:0 > 8 && v1660:0 < 11 && v1675:0 < 10 && sum~cons_1~v1675:1 = 1 + v1675:1 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (9) 39.37/14.14 Obligation: 39.37/14.14 Rules: 39.37/14.14 f_793(v1658:0, cons_12, cons_11, cons_10, v1677:0) -> f_793(1 + v1658:0, 4, 3, 2, 1 + v1677:0) :|: v1658:0 < 10 && v1658:0 > 0 && v1658:0 < 9 && v1677:0 > 8 && cons_12 = 12 && cons_11 = 11 && cons_10 = 10 39.37/14.14 f_793(x, x1, x2, x3, x4) -> f_793(x, 2 + x5, 1 + x5, x5, 1 + x4) :|: x5 < 11 && x5 > 1 && x4 > 8 && x2 < 11 && x3 < 10 && x1 = 1 + x5 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (10) IRS2T2 (EQUIVALENT) 39.37/14.14 Transformed input IRS into an integer transition system.Used the following mapping from defined symbols to location IDs: 39.37/14.14 39.37/14.14 (f_793_5,1) 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (11) 39.37/14.14 Obligation: 39.37/14.14 START: 0; 39.37/14.14 39.37/14.14 FROM: 0; 39.37/14.14 TO: 1; 39.37/14.14 39.37/14.14 FROM: 1; 39.37/14.14 oldX0 := x0; 39.37/14.14 oldX1 := x1; 39.37/14.14 oldX2 := x2; 39.37/14.14 oldX3 := x3; 39.37/14.14 oldX4 := x4; 39.37/14.14 assume(oldX0 < 10 && oldX0 > 0 && oldX0 < 9 && oldX4 > 8 && oldX1 = 12 && oldX2 = 11 && oldX3 = 10); 39.37/14.14 x0 := 1 + oldX0; 39.37/14.14 x1 := 4; 39.37/14.14 x2 := 3; 39.37/14.14 x3 := 2; 39.37/14.14 x4 := 1 + oldX4; 39.37/14.14 TO: 1; 39.37/14.14 39.37/14.14 FROM: 1; 39.37/14.14 oldX0 := x0; 39.37/14.14 oldX1 := x1; 39.37/14.14 oldX2 := x2; 39.37/14.14 oldX3 := x3; 39.37/14.14 oldX4 := x4; 39.37/14.14 oldX5 := oldX1 - 1; 39.37/14.14 assume(oldX5 < 11 && oldX5 > 1 && oldX4 > 8 && oldX2 < 11 && oldX3 < 10 && oldX1 = 1 + oldX5); 39.37/14.14 x0 := oldX0; 39.37/14.14 x1 := 2 + oldX5; 39.37/14.14 x2 := 1 + oldX5; 39.37/14.14 x3 := oldX1 - 1; 39.37/14.14 x4 := 1 + oldX4; 39.37/14.14 TO: 1; 39.37/14.14 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (12) T2 (EQUIVALENT) 39.37/14.14 Initially, performed program simplifications using lexicographic rank functions: 39.37/14.14 * Removed transitions 1, 4, 5 using the following rank functions: 39.37/14.14 - Rank function 1: 39.37/14.14 RF for loc. 5: 1-18*x0-2*x1 39.37/14.14 RF for loc. 6: -18*x0-2*x1 39.37/14.14 Bound for (chained) transitions 4: -168 39.37/14.14 - Rank function 2: 39.37/14.14 RF for loc. 5: -2*x1 39.37/14.14 RF for loc. 6: -1-2*x1 39.37/14.14 Bound for (chained) transitions 5: -23 39.37/14.14 - Rank function 3: 39.37/14.14 RF for loc. 5: 0 39.37/14.14 RF for loc. 6: -1 39.37/14.14 Bound for (chained) transitions 1: 0 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (13) 39.37/14.14 YES 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (14) 39.37/14.14 Obligation: 39.37/14.14 SCC 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (15) SCC2IRS (SOUND) 39.37/14.14 Transformed LLVM symbolic execution graph SCC into a rewrite problem. Log: 39.37/14.14 Generated rules. Obtained 20 rulesP rules: 39.37/14.14 f_371(v198, v199, v200, v201, v202, v203, v204, 0, 1, v207, v208, v209, v210, v211, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_372(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: 0 = 0 39.37/14.14 f_372(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_373(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: v211 < 12 && v208 <= 9 && v207 <= 10 && v209 <= 7 && v210 <= 8 39.37/14.14 f_373(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_375(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: 0 = 0 39.37/14.14 f_375(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_377(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: TRUE 39.37/14.14 f_377(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_379(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) :|: 0 = 0 39.37/14.14 f_379(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v207, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 10, 9, 8, 4, 11) -> f_381(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11) :|: 0 = 0 39.37/14.14 f_381(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v208, v209, v210, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11) -> f_383(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 1 + v229 = v211 && 3 <= v229 && v229 <= 10 39.37/14.14 f_383(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_385(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: TRUE 39.37/14.14 f_385(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_387(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 39.37/14.14 f_387(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v209, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_389(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 39.37/14.14 f_389(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_391(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: v208 = 1 + v210 39.37/14.14 f_391(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_393(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: TRUE 39.37/14.14 f_393(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_395(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: TRUE 39.37/14.14 f_395(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_397(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 39.37/14.14 f_397(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_399(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) :|: 0 = 0 39.37/14.14 f_399(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10) -> f_401(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) :|: v232 = 2 + v229 && 5 <= v232 && v232 <= 12 39.37/14.14 f_401(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) -> f_403(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) :|: TRUE 39.37/14.14 f_403(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) -> f_405(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) :|: TRUE 39.37/14.14 f_405(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 9, 8, 4, 11, 10, 5, 12) -> f_370(v198, v199, v200, v201, v202, v203, v204, 0, 1, v211, v229, v210, v208, v232, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: TRUE 39.37/14.14 f_370(v198, v199, v200, v201, v202, v203, v204, 0, 1, v207, v208, v209, v210, v211, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_371(v198, v199, v200, v201, v202, v203, v204, 0, 1, v207, v208, v209, v210, v211, v212, v213, v214, v215, v216, v217, v218, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: 0 = 0 39.37/14.14 Combined rules. Obtained 1 rulesP rules: 39.37/14.14 f_371(v198:0, v199:0, v200:0, v201:0, v202:0, v203:0, v204:0, 0, 1, v207:0, 1 + v210:0, v209:0, v210:0, 1 + v229:0, v212:0, v213:0, v214:0, v215:0, v216:0, v217:0, v218:0, 3, 7, 2, 11, 10, 8, 9, 4, 12) -> f_371(v198:0, v199:0, v200:0, v201:0, v202:0, v203:0, v204:0, 0, 1, 1 + v229:0, v229:0, v210:0, 1 + v210:0, 2 + v229:0, v212:0, v213:0, v214:0, v215:0, v216:0, v217:0, v218:0, 3, 7, 2, 11, 10, 8, 9, 4, 12) :|: v210:0 < 9 && v229:0 < 11 && v207:0 < 11 && v209:0 < 8 && v229:0 > 2 39.37/14.14 Filtered unneeded arguments: 39.37/14.14 f_371(x1, x2, x3, x4, x5, x6, x7, x8, x9, x10, x11, x12, x13, x14, x15, x16, x17, x18, x19, x20, x21, x22, x23, x24, x25, x26, x27, x28, x29, x30) -> f_371(x10, x11, x12, x13, x14) 39.37/14.14 Removed division, modulo operations, cleaned up constraints. Obtained 1 rules.P rules: 39.37/14.14 f_371(v207:0, sum~cons_1~v210:0, v209:0, v210:0, sum~cons_1~v229:0) -> f_371(1 + v229:0, v229:0, v210:0, 1 + v210:0, 2 + v229:0) :|: v229:0 < 11 && v210:0 < 9 && v207:0 < 11 && v229:0 > 2 && v209:0 < 8 && sum~cons_1~v210:0 = 1 + v210:0 && sum~cons_1~v229:0 = 1 + v229:0 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (16) 39.37/14.14 Obligation: 39.37/14.14 Rules: 39.37/14.14 f_371(v207:0, sum~cons_1~v210:0, v209:0, v210:0, sum~cons_1~v229:0) -> f_371(1 + v229:0, v229:0, v210:0, 1 + v210:0, 2 + v229:0) :|: v229:0 < 11 && v210:0 < 9 && v207:0 < 11 && v229:0 > 2 && v209:0 < 8 && sum~cons_1~v210:0 = 1 + v210:0 && sum~cons_1~v229:0 = 1 + v229:0 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (17) IntTRSCompressionProof (EQUIVALENT) 39.37/14.14 Compressed rules. 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (18) 39.37/14.14 Obligation: 39.37/14.14 Rules: 39.37/14.14 f_371(v207:0:0, sum~cons_1~v210:0:0, v209:0:0, v210:0:0, sum~cons_1~v229:0:0) -> f_371(1 + v229:0:0, v229:0:0, v210:0:0, 1 + v210:0:0, 2 + v229:0:0) :|: v229:0:0 > 2 && v209:0:0 < 8 && v207:0:0 < 11 && v210:0:0 < 9 && v229:0:0 < 11 && sum~cons_1~v210:0:0 = 1 + v210:0:0 && sum~cons_1~v229:0:0 = 1 + v229:0:0 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (19) PolynomialOrderProcessor (EQUIVALENT) 39.37/14.14 Found the following polynomial interpretation: 39.37/14.14 [f_371(x, x1, x2, x3, x4)] = 8 - x3 39.37/14.14 39.37/14.14 The following rules are decreasing: 39.37/14.14 f_371(v207:0:0, sum~cons_1~v210:0:0, v209:0:0, v210:0:0, sum~cons_1~v229:0:0) -> f_371(1 + v229:0:0, v229:0:0, v210:0:0, 1 + v210:0:0, 2 + v229:0:0) :|: v229:0:0 > 2 && v209:0:0 < 8 && v207:0:0 < 11 && v210:0:0 < 9 && v229:0:0 < 11 && sum~cons_1~v210:0:0 = 1 + v210:0:0 && sum~cons_1~v229:0:0 = 1 + v229:0:0 39.37/14.14 The following rules are bounded: 39.37/14.14 f_371(v207:0:0, sum~cons_1~v210:0:0, v209:0:0, v210:0:0, sum~cons_1~v229:0:0) -> f_371(1 + v229:0:0, v229:0:0, v210:0:0, 1 + v210:0:0, 2 + v229:0:0) :|: v229:0:0 > 2 && v209:0:0 < 8 && v207:0:0 < 11 && v210:0:0 < 9 && v229:0:0 < 11 && sum~cons_1~v210:0:0 = 1 + v210:0:0 && sum~cons_1~v229:0:0 = 1 + v229:0:0 39.37/14.14 39.37/14.14 ---------------------------------------- 39.37/14.14 39.37/14.14 (20) 39.37/14.14 YES 39.61/14.19 EOF