310.15/287.06 MAYBE 312.49/287.80 proof of /export/starexec/sandbox/benchmark/theBenchmark.hs 312.49/287.80 # AProVE Commit ID: 48fb2092695e11cc9f56e44b17a92a5f88ffb256 marcel 20180622 unpublished dirty 312.49/287.80 312.49/287.80 312.49/287.80 H-Termination with start terms of the given HASKELL could not be shown: 312.49/287.80 312.49/287.80 (0) HASKELL 312.49/287.80 (1) LR [EQUIVALENT, 0 ms] 312.49/287.80 (2) HASKELL 312.49/287.80 (3) CR [EQUIVALENT, 0 ms] 312.49/287.80 (4) HASKELL 312.49/287.80 (5) IFR [EQUIVALENT, 0 ms] 312.49/287.80 (6) HASKELL 312.49/287.80 (7) BR [EQUIVALENT, 11 ms] 312.49/287.80 (8) HASKELL 312.49/287.80 (9) COR [EQUIVALENT, 0 ms] 312.49/287.80 (10) HASKELL 312.49/287.80 (11) LetRed [EQUIVALENT, 0 ms] 312.49/287.80 (12) HASKELL 312.49/287.80 (13) NumRed [SOUND, 0 ms] 312.49/287.80 (14) HASKELL 312.49/287.80 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (0) 312.49/287.80 Obligation: 312.49/287.80 mainModule Main 312.49/287.80 module Main where { 312.49/287.80 import qualified Prelude; 312.49/287.80 } 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (1) LR (EQUIVALENT) 312.49/287.80 Lambda Reductions: 312.49/287.80 The following Lambda expression 312.49/287.80 "\ab->(a,b)" 312.49/287.80 is transformed to 312.49/287.80 "zip0 a b = (a,b); 312.49/287.80 " 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (2) 312.49/287.80 Obligation: 312.49/287.80 mainModule Main 312.49/287.80 module Main where { 312.49/287.80 import qualified Prelude; 312.49/287.80 } 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (3) CR (EQUIVALENT) 312.49/287.80 Case Reductions: 312.49/287.80 The following Case expression 312.49/287.80 "case loc of { 312.49/287.80 [] -> id; 312.49/287.80 _ -> (showString ('\10' : 'A' : 'c' : 't' : 'i' : 'o' : 'n' : ':' : '\32' : [])) . showString loc} 312.49/287.80 " 312.49/287.80 is transformed to 312.49/287.80 "showsPrec0 loc [] = id; 312.49/287.80 showsPrec0 loc _ = (showString ('\10' : 'A' : 'c' : 't' : 'i' : 'o' : 'n' : ':' : '\32' : [])) . showString loc; 312.49/287.80 " 312.49/287.80 The following Case expression 312.49/287.80 "case descr of { 312.49/287.80 [] -> id; 312.49/287.80 _ -> (showString ('\10' : 'R' : 'e' : 'a' : 's' : 'o' : 'n' : ':' : '\32' : [])) . showString descr} 312.49/287.80 " 312.49/287.80 is transformed to 312.49/287.80 "showsPrec1 descr [] = id; 312.49/287.80 showsPrec1 descr _ = (showString ('\10' : 'R' : 'e' : 'a' : 's' : 'o' : 'n' : ':' : '\32' : [])) . showString descr; 312.49/287.80 " 312.49/287.80 The following Case expression 312.49/287.80 "case mbFile of { 312.49/287.80 Nothing -> id; 312.49/287.80 Just name -> (showString ('\10' : 'R' : 'e' : 's' : 'o' : 'u' : 'r' : 'c' : 'e' : ':' : '\32' : [])) . showString name} 312.49/287.80 " 312.49/287.80 is transformed to 312.49/287.80 "showsPrec2 Nothing = id; 312.49/287.80 showsPrec2 (Just name) = (showString ('\10' : 'R' : 'e' : 's' : 'o' : 'u' : 'r' : 'c' : 'e' : ':' : '\32' : [])) . showString name; 312.49/287.80 " 312.49/287.80 The following Case expression 312.49/287.80 "case x of { 312.49/287.80 IOError_UserError -> 'U' : 'E' : []; 312.49/287.80 IOError_IllegalError -> 'I' : 'O' : []; 312.49/287.80 IOError_PermDenied -> 'P' : 'D' : []; 312.49/287.80 IOError_AlreadyExists -> 'A' : 'E' : []; 312.49/287.80 IOError_AlreadyInUse -> 'R' : 'B' : []; 312.49/287.80 IOError_DoesNotExist -> 'D' : 'N' : 'E' : []; 312.49/287.80 IOError_FullError -> 'R' : 'E' : []; 312.49/287.80 IOError_EOF -> 'E' : 'O' : 'F' : []; 312.49/287.80 IOError_WriteError -> 'W' : 'E' : []} 312.49/287.80 " 312.49/287.80 is transformed to 312.49/287.80 "show0 IOError_UserError = 'U' : 'E' : []; 312.49/287.80 show0 IOError_IllegalError = 'I' : 'O' : []; 312.49/287.80 show0 IOError_PermDenied = 'P' : 'D' : []; 312.49/287.80 show0 IOError_AlreadyExists = 'A' : 'E' : []; 312.49/287.80 show0 IOError_AlreadyInUse = 'R' : 'B' : []; 312.49/287.80 show0 IOError_DoesNotExist = 'D' : 'N' : 'E' : []; 312.49/287.80 show0 IOError_FullError = 'R' : 'E' : []; 312.49/287.80 show0 IOError_EOF = 'E' : 'O' : 'F' : []; 312.49/287.80 show0 IOError_WriteError = 'W' : 'E' : []; 312.49/287.80 " 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (4) 312.49/287.80 Obligation: 312.49/287.80 mainModule Main 312.49/287.80 module Main where { 312.49/287.80 import qualified Prelude; 312.49/287.80 } 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (5) IFR (EQUIVALENT) 312.49/287.80 If Reductions: 312.49/287.80 The following If expression 312.49/287.80 "if b then (showChar '(') . p . showChar ')' else p" 312.49/287.80 is transformed to 312.49/287.80 "showParen0 p True = (showChar '(') . p . showChar ')'; 312.49/287.80 showParen0 p False = p; 312.49/287.80 " 312.49/287.80 The following If expression 312.49/287.80 "if primGEqNatS x y then Succ (primDivNatS (primMinusNatS x y) (Succ y)) else Zero" 312.49/287.80 is transformed to 312.49/287.80 "primDivNatS0 x y True = Succ (primDivNatS (primMinusNatS x y) (Succ y)); 312.49/287.80 primDivNatS0 x y False = Zero; 312.49/287.80 " 312.49/287.80 The following If expression 312.49/287.80 "if primGEqNatS x y then primModNatS (primMinusNatS x y) (Succ y) else Succ x" 312.49/287.80 is transformed to 312.49/287.80 "primModNatS0 x y True = primModNatS (primMinusNatS x y) (Succ y); 312.49/287.80 primModNatS0 x y False = Succ x; 312.49/287.80 " 312.49/287.80 The following If expression 312.49/287.80 "if primGEqNatS x y then primModNatP (primMinusNatS x y) (Succ y) else primMinusNatS y x" 312.49/287.80 is transformed to 312.49/287.80 "primModNatP0 x y True = primModNatP (primMinusNatS x y) (Succ y); 312.49/287.80 primModNatP0 x y False = primMinusNatS y x; 312.49/287.80 " 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (6) 312.49/287.80 Obligation: 312.49/287.80 mainModule Main 312.49/287.80 module Main where { 312.49/287.80 import qualified Prelude; 312.49/287.80 } 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (7) BR (EQUIVALENT) 312.49/287.80 Replaced joker patterns by fresh variables and removed binding patterns. 312.49/287.80 312.49/287.80 Binding Reductions: 312.49/287.80 The bind variable of the following binding Pattern 312.49/287.80 "s@(wz : xu)" 312.49/287.80 is replaced by the following term 312.49/287.80 "wz : xu" 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (8) 312.49/287.80 Obligation: 312.49/287.80 mainModule Main 312.49/287.80 module Main where { 312.49/287.80 import qualified Prelude; 312.49/287.80 } 312.49/287.80 312.49/287.80 ---------------------------------------- 312.49/287.80 312.49/287.80 (9) COR (EQUIVALENT) 312.49/287.80 Cond Reductions: 312.49/287.80 The following Function with conditions 312.49/287.80 "showl [] = showChar '"'; 312.49/287.80 showl ('"' : cs) = (showString ('\' : '"' : [])) . showl cs; 312.49/287.80 showl (c : cs) = (showLitChar c) . showl cs; 312.49/287.80 " 312.49/287.80 is transformed to 312.49/287.80 "showl [] = showl3 []; 312.49/287.80 showl (zy : cs) = showl2 (zy : cs); 312.49/287.80 showl (c : cs) = showl0 (c : cs); 312.49/287.80 " 312.49/287.80 "showl0 (c : cs) = (showLitChar c) . showl cs; 312.49/287.80 " 312.49/287.80 "showl1 True (zy : cs) = (showString ('\' : '"' : [])) . showl cs; 312.49/287.80 showl1 zz vuu = showl0 vuu; 312.49/287.80 " 312.49/287.80 "showl2 (zy : cs) = showl1 (zy == '"') (zy : cs); 312.49/287.80 showl2 vuv = showl0 vuv; 312.49/287.80 " 312.49/287.80 "showl3 [] = showChar '"'; 312.49/287.80 showl3 vux = showl2 vux; 312.49/287.80 " 312.49/287.80 The following Function with conditions 312.49/287.80 "showsPrec p ''' = showString (''' : '\' : ''' : ''' : []); 312.49/287.80 showsPrec p c = (showChar ''') . (showLitChar c) . showChar '''; 312.49/287.80 " 312.49/287.80 is transformed to 312.49/287.80 "showsPrec p vuy = showsPrec5 p vuy; 312.49/287.80 showsPrec p c = showsPrec3 p c; 312.49/287.80 " 312.49/287.80 "showsPrec3 p c = (showChar ''') . (showLitChar c) . showChar '''; 312.49/287.80 " 312.49/287.80 "showsPrec4 True p vuy = showString (''' : '\' : ''' : ''' : []); 312.49/287.80 showsPrec4 vuz vvu vvv = showsPrec3 vvu vvv; 312.49/287.80 " 312.49/287.80 "showsPrec5 p vuy = showsPrec4 (vuy == ''') p vuy; 312.49/287.80 showsPrec5 vvw vvx = showsPrec3 vvw vvx; 312.49/287.80 " 312.49/287.80 The following Function with conditions 312.49/287.80 "takeWhile p [] = []; 312.49/287.80 takeWhile p (x : xs)|p xx : takeWhile p xs|otherwise[]; 312.49/287.80 " 312.49/287.80 is transformed to 312.49/287.80 "takeWhile p [] = takeWhile3 p []; 312.49/287.80 takeWhile p (x : xs) = takeWhile2 p (x : xs); 312.49/287.80 " 312.49/287.80 "takeWhile1 p x xs True = x : takeWhile p xs; 312.49/287.80 takeWhile1 p x xs False = takeWhile0 p x xs otherwise; 312.49/287.80 " 312.49/287.80 "takeWhile0 p x xs True = []; 312.49/287.80 " 312.49/287.80 "takeWhile2 p (x : xs) = takeWhile1 p x xs (p x); 312.49/287.80 " 312.49/287.80 "takeWhile3 p [] = []; 312.49/287.80 takeWhile3 vwu vwv = takeWhile2 vwu vwv; 312.49/287.80 " 312.49/287.80 The following Function with conditions 312.79/287.80 "!! (x : vy) 0 = x; 312.79/287.80 !! (vz : xs) n|n > 0xs !! (n - 1); 312.79/287.80 !! (wu : wv) ww = error []; 312.79/287.80 !! [] wx = error []; 312.79/287.80 " 312.79/287.80 is transformed to 312.79/287.80 "!! (x : vy) vxy = emEm5 (x : vy) vxy; 312.79/287.80 !! (vz : xs) n = emEm3 (vz : xs) n; 312.79/287.80 !! (wu : wv) ww = emEm1 (wu : wv) ww; 312.79/287.80 !! [] wx = emEm0 [] wx; 312.79/287.80 " 312.79/287.80 "emEm0 [] wx = error []; 312.79/287.80 " 312.79/287.80 "emEm1 (wu : wv) ww = error []; 312.79/287.80 emEm1 vwy vwz = emEm0 vwy vwz; 312.79/287.80 " 312.79/287.80 "emEm2 vz xs n True = xs !! (n - 1); 312.79/287.80 emEm2 vz xs n False = emEm1 (vz : xs) n; 312.79/287.80 " 312.79/287.80 "emEm3 (vz : xs) n = emEm2 vz xs n (n > 0); 312.79/287.80 emEm3 vxv vxw = emEm1 vxv vxw; 312.79/287.80 " 312.79/287.80 "emEm4 True (x : vy) vxy = x; 312.79/287.80 emEm4 vxz vyu vyv = emEm3 vyu vyv; 312.79/287.80 " 312.79/287.80 "emEm5 (x : vy) vxy = emEm4 (vxy == 0) (x : vy) vxy; 312.79/287.80 emEm5 vyw vyx = emEm3 vyw vyx; 312.79/287.80 " 312.79/287.80 The following Function with conditions 312.79/287.80 "showLitChar c|c > '\127'(showChar '\') . protectEsc isDigit (shows (fromEnum c)); 312.79/287.80 showLitChar '\127' = showString ('\' : 'D' : 'E' : 'L' : []); 312.79/287.80 showLitChar '\' = showString ('\' : '\' : []); 312.79/287.80 showLitChar c|c >= '\32'showChar c; 312.79/287.80 showLitChar '\7' = showString ('\' : 'a' : []); 312.79/287.80 showLitChar '\8' = showString ('\' : 'b' : []); 312.79/287.80 showLitChar '\12' = showString ('\' : 'f' : []); 312.79/287.80 showLitChar '\10' = showString ('\' : 'n' : []); 312.79/287.80 showLitChar '\13' = showString ('\' : 'r' : []); 312.79/287.80 showLitChar '\9' = showString ('\' : 't' : []); 312.79/287.80 showLitChar '\11' = showString ('\' : 'v' : []); 312.79/287.80 showLitChar '\14' = protectEsc ('H' ==) (showString ('\' : 'S' : 'O' : [])); 312.79/287.80 showLitChar c = showString ('\' : snd (asciiTab !! (fromEnum c))); 312.79/287.80 " 312.79/287.80 is transformed to 312.79/287.80 "showLitChar c = showLitChar24 c; 312.79/287.80 showLitChar wyz = showLitChar22 wyz; 312.79/287.80 showLitChar wyv = showLitChar20 wyv; 312.79/287.80 showLitChar c = showLitChar18 c; 312.79/287.80 showLitChar wxw = showLitChar16 wxw; 312.79/287.80 showLitChar wwy = showLitChar14 wwy; 312.79/287.80 showLitChar wwu = showLitChar12 wwu; 312.79/287.80 showLitChar wvw = showLitChar10 wvw; 312.79/287.80 showLitChar wuy = showLitChar8 wuy; 312.79/287.80 showLitChar wuu = showLitChar6 wuu; 312.79/287.80 showLitChar vzw = showLitChar4 vzw; 312.79/287.80 showLitChar vyy = showLitChar2 vyy; 312.79/287.80 showLitChar c = showLitChar0 c; 312.79/287.80 " 312.79/287.80 "showLitChar0 c = showString ('\' : snd (asciiTab !! (fromEnum c))); 312.79/287.80 " 312.79/287.80 "showLitChar1 True vyy = protectEsc ('H' ==) (showString ('\' : 'S' : 'O' : [])); 312.79/287.80 showLitChar1 vyz vzu = showLitChar0 vzu; 312.79/287.80 " 312.79/287.80 "showLitChar2 vyy = showLitChar1 (vyy == '\14') vyy; 312.79/287.80 showLitChar2 vzv = showLitChar0 vzv; 312.79/287.80 " 312.79/287.80 "showLitChar3 True vzw = showString ('\' : 'v' : []); 312.79/287.80 showLitChar3 vzx vzy = showLitChar2 vzy; 312.79/287.80 " 312.79/287.80 "showLitChar4 vzw = showLitChar3 (vzw == '\11') vzw; 312.79/287.80 showLitChar4 vzz = showLitChar2 vzz; 312.79/287.80 " 312.79/287.80 "showLitChar5 True wuu = showString ('\' : 't' : []); 312.79/287.80 showLitChar5 wuv wuw = showLitChar4 wuw; 312.79/287.80 " 312.79/287.80 "showLitChar6 wuu = showLitChar5 (wuu == '\9') wuu; 312.79/287.80 showLitChar6 wux = showLitChar4 wux; 312.79/287.80 " 312.79/287.80 "showLitChar7 True wuy = showString ('\' : 'r' : []); 312.79/287.80 showLitChar7 wuz wvu = showLitChar6 wvu; 312.79/287.80 " 312.79/287.80 "showLitChar8 wuy = showLitChar7 (wuy == '\13') wuy; 312.79/287.80 showLitChar8 wvv = showLitChar6 wvv; 312.79/287.80 " 312.79/287.80 "showLitChar9 True wvw = showString ('\' : 'n' : []); 312.79/287.80 showLitChar9 wvx wvy = showLitChar8 wvy; 312.79/287.80 " 312.79/287.80 "showLitChar10 wvw = showLitChar9 (wvw == '\10') wvw; 312.79/287.80 showLitChar10 wvz = showLitChar8 wvz; 312.79/287.80 " 312.79/287.80 "showLitChar11 True wwu = showString ('\' : 'f' : []); 312.79/287.80 showLitChar11 wwv www = showLitChar10 www; 312.79/287.80 " 312.79/287.80 "showLitChar12 wwu = showLitChar11 (wwu == '\12') wwu; 312.79/287.80 showLitChar12 wwx = showLitChar10 wwx; 312.79/287.80 " 312.79/287.80 "showLitChar13 True wwy = showString ('\' : 'b' : []); 312.79/287.80 showLitChar13 wwz wxu = showLitChar12 wxu; 312.79/287.80 " 312.79/287.80 "showLitChar14 wwy = showLitChar13 (wwy == '\8') wwy; 312.79/287.80 showLitChar14 wxv = showLitChar12 wxv; 312.79/287.80 " 312.79/287.80 "showLitChar15 True wxw = showString ('\' : 'a' : []); 312.79/287.80 showLitChar15 wxx wxy = showLitChar14 wxy; 312.79/287.80 " 312.79/287.80 "showLitChar16 wxw = showLitChar15 (wxw == '\7') wxw; 312.79/287.80 showLitChar16 wxz = showLitChar14 wxz; 312.79/287.80 " 312.79/287.80 "showLitChar17 c True = showChar c; 312.79/287.80 showLitChar17 c False = showLitChar16 c; 312.79/287.80 " 312.79/287.80 "showLitChar18 c = showLitChar17 c (c >= '\32'); 312.79/287.80 showLitChar18 wyu = showLitChar16 wyu; 312.79/287.80 " 312.79/287.80 "showLitChar19 True wyv = showString ('\' : '\' : []); 312.79/287.80 showLitChar19 wyw wyx = showLitChar18 wyx; 312.79/287.80 " 312.79/287.80 "showLitChar20 wyv = showLitChar19 (wyv == '\') wyv; 312.79/287.80 showLitChar20 wyy = showLitChar18 wyy; 312.79/287.80 " 312.79/287.80 "showLitChar21 True wyz = showString ('\' : 'D' : 'E' : 'L' : []); 312.79/287.80 showLitChar21 wzu wzv = showLitChar20 wzv; 312.79/287.80 " 312.79/287.80 "showLitChar22 wyz = showLitChar21 (wyz == '\127') wyz; 312.79/287.80 showLitChar22 wzw = showLitChar20 wzw; 312.79/287.80 " 312.79/287.80 "showLitChar23 c True = (showChar '\') . protectEsc isDigit (shows (fromEnum c)); 312.79/287.80 showLitChar23 c False = showLitChar22 c; 312.79/287.80 " 312.79/287.80 "showLitChar24 c = showLitChar23 c (c > '\127'); 312.79/287.80 showLitChar24 wzx = showLitChar22 wzx; 312.79/287.80 " 312.79/287.80 The following Function with conditions 312.79/287.80 "cont (wz : xu)|p wz('\' : '&' : []) ++ wz : xu; 312.79/287.80 cont s = s; 312.79/287.80 " 312.79/287.80 is transformed to 312.79/287.80 "cont (wz : xu) = cont2 (wz : xu); 312.79/287.80 cont s = cont0 s; 312.79/287.80 " 312.79/287.80 "cont0 s = s; 312.79/287.80 " 312.79/287.80 "cont1 wz xu True = ('\' : '&' : []) ++ wz : xu; 312.79/287.80 cont1 wz xu False = cont0 (wz : xu); 312.79/287.80 " 312.79/287.80 "cont2 (wz : xu) = cont1 wz xu (p wz); 312.79/287.80 cont2 wzz = cont0 wzz; 312.79/287.80 " 312.79/287.80 The following Function with conditions 312.79/287.80 "undefined |Falseundefined; 312.79/287.80 " 312.79/287.80 is transformed to 312.79/287.80 "undefined = undefined1; 312.79/287.80 " 312.79/287.80 "undefined0 True = undefined; 312.79/287.80 " 312.79/287.80 "undefined1 = undefined0 False; 312.79/287.80 " 312.79/287.80 312.79/287.80 ---------------------------------------- 312.79/287.80 312.79/287.80 (10) 312.79/287.80 Obligation: 312.79/287.80 mainModule Main 312.79/287.80 module Main where { 312.79/287.80 import qualified Prelude; 312.79/287.80 } 312.79/287.80 312.79/287.80 ---------------------------------------- 312.79/287.80 312.79/287.80 (11) LetRed (EQUIVALENT) 312.79/287.80 Let/Where Reductions: 312.79/287.80 The bindings of the following Let/Where expression 312.79/287.80 "(showChar '[') . (shows x) . showl xs where { 312.79/287.80 showl [] = showChar ']'; 312.79/287.80 showl (x : xs) = (showChar ',') . (shows x) . showl xs; 312.79/287.80 } 312.79/287.80 " 312.79/287.80 are unpacked to the following functions on top level 312.79/287.80 "showListShowl [] = showChar ']'; 312.79/287.80 showListShowl (x : xs) = (showChar ',') . (shows x) . showListShowl xs; 312.79/287.80 " 312.79/287.80 The bindings of the following Let/Where expression 312.79/287.80 "(showChar '"') . showl cs where { 312.79/287.80 showl [] = showl3 []; 312.79/287.80 showl (zy : cs) = showl2 (zy : cs); 312.79/287.80 showl (c : cs) = showl0 (c : cs); 312.79/287.80 ; 312.79/287.80 showl0 (c : cs) = (showLitChar c) . showl cs; 312.79/287.80 ; 312.79/287.80 showl1 True (zy : cs) = (showString ('\' : '"' : [])) . showl cs; 312.79/287.80 showl1 zz vuu = showl0 vuu; 312.79/287.80 ; 312.79/287.80 showl2 (zy : cs) = showl1 (zy == '"') (zy : cs); 312.79/287.80 showl2 vuv = showl0 vuv; 312.79/287.80 ; 312.79/287.80 showl3 [] = showChar '"'; 312.79/287.80 showl3 vux = showl2 vux; 312.79/287.80 } 312.79/287.80 " 312.79/287.80 are unpacked to the following functions on top level 312.79/287.80 "showListShowl2 (zy : cs) = showListShowl1 (zy == '"') (zy : cs); 312.79/287.80 showListShowl2 vuv = showListShowl0 vuv; 312.79/287.80 " 312.79/287.80 "showListShowl0 (c : cs) = (showLitChar c) . showListShowl4 cs; 312.79/287.80 " 312.79/287.80 "showListShowl1 True (zy : cs) = (showString ('\' : '"' : [])) . showListShowl4 cs; 312.79/287.80 showListShowl1 zz vuu = showListShowl0 vuu; 312.79/287.80 " 312.79/287.80 "showListShowl3 [] = showChar '"'; 312.79/287.80 showListShowl3 vux = showListShowl2 vux; 312.79/287.80 " 312.79/287.80 "showListShowl4 [] = showListShowl3 []; 312.79/287.80 showListShowl4 (zy : cs) = showListShowl2 (zy : cs); 312.79/287.80 showListShowl4 (c : cs) = showListShowl0 (c : cs); 312.79/287.80 " 312.79/287.80 The bindings of the following Let/Where expression 312.79/287.80 "f . cont where { 312.79/287.80 cont (wz : xu) = cont2 (wz : xu); 312.79/287.80 cont s = cont0 s; 312.79/287.80 ; 312.79/287.80 cont0 s = s; 312.79/287.80 ; 312.79/287.80 cont1 wz xu True = ('\' : '&' : []) ++ wz : xu; 312.79/287.80 cont1 wz xu False = cont0 (wz : xu); 312.79/287.80 ; 312.79/287.80 cont2 (wz : xu) = cont1 wz xu (p wz); 312.79/287.80 cont2 wzz = cont0 wzz; 312.79/287.80 } 312.79/287.80 " 312.79/287.80 are unpacked to the following functions on top level 312.79/287.80 "protectEscCont0 xuu s = s; 312.79/287.80 " 312.79/287.80 "protectEscCont2 xuu (wz : xu) = protectEscCont1 xuu wz xu (xuu wz); 312.79/287.80 protectEscCont2 xuu wzz = protectEscCont0 xuu wzz; 312.79/287.80 " 312.79/287.80 "protectEscCont1 xuu wz xu True = ('\' : '&' : []) ++ wz : xu; 312.79/287.80 protectEscCont1 xuu wz xu False = protectEscCont0 xuu (wz : xu); 312.79/287.80 " 312.79/287.80 "protectEscCont xuu (wz : xu) = protectEscCont2 xuu (wz : xu); 312.79/287.80 protectEscCont xuu s = protectEscCont0 xuu s; 312.79/287.80 " 312.79/287.80 312.79/287.80 ---------------------------------------- 312.79/287.80 312.79/287.80 (12) 312.79/287.80 Obligation: 312.79/287.80 mainModule Main 312.79/287.80 module Main where { 312.79/287.80 import qualified Prelude; 312.79/287.80 } 312.79/287.80 312.79/287.80 ---------------------------------------- 312.79/287.80 312.79/287.80 (13) NumRed (SOUND) 312.79/287.80 Num Reduction:All numbers are transformed to their corresponding representation with Succ, Pred and Zero. 312.79/287.80 ---------------------------------------- 312.79/287.80 312.79/287.80 (14) 312.79/287.80 Obligation: 312.79/287.80 mainModule Main 312.79/287.80 module Main where { 312.79/287.80 import qualified Prelude; 312.79/287.80 } 312.88/287.89 EOF