NO proof of /export/starexec/sandbox/benchmark/theBenchmark.xml # AProVE Commit ID: 794c25de1cacf0d048858bcd21c9a779e1221865 marcel 20200619 unpublished dirty Termination w.r.t. Q of the given QTRS could be disproven: (0) QTRS (1) NonTerminationProof [COMPLETE, 40.6 s] (2) NO ---------------------------------------- (0) Obligation: Q restricted rewrite system: The TRS R consists of the following rules: a(a(x1)) -> a(b(x1)) b(b(c(x1))) -> c(c(a(a(a(x1))))) Q is empty. ---------------------------------------- (1) NonTerminationProof (COMPLETE) We used the non-termination processor [OPPELT08] to show that the SRS problem is infinite. Found the self-embedding DerivationStructure: "b b c a b c c a c c -> c c a c c a c c a a c c a b b c a b c c a c c a a a" b b c a b c c a c c -> c c a c c a c c a a c c a b b c a b c c a c c a a a by OverlapClosure OC 2"b b c a b c c a c -> c c a c c a c c a a c c a b b c a b c c a b b by OverlapClosure OC 2"b b c a b c c a -> c c a c c a c c a a c c a b b c a b b b by OverlapClosure OC 3"b b c a b c c a -> c c a c c a c c a a c c a a b c a b b b by OverlapClosure OC 3"b b c a b c c a -> c c a c c a c c a a c c a a a c a b b b by OverlapClosure OC 3"b b c a b c c a -> c c a c c a c c a a b b c c a b b b by OverlapClosure OC 2"b b c a b c -> c c a c c a c c a a b b b b by OverlapClosure OC 3"b b c a b c -> c c a c c a c c a a a b b b by OverlapClosure OC 3"b b c a b c -> c c a c c a c c a a a a b b by OverlapClosure OC 3"b b c a b c -> c c a c c a b b c a b b by OverlapClosure OC 3"b b c a b c -> c c a c c a a b c a b b by OverlapClosure OC 3"b b c a b c -> c c a c c a a a c a b b by OverlapClosure OC 3"b b c a b c -> c c a b b c c a b b by OverlapClosure OC 2"b b c a -> c c a b b b by OverlapClosure OC 3"b b c a -> c c a a b b by OverlapClosure OC 3"b b c a -> c c a a a b by OverlapClosure OC 2"b b c -> c c a a a by original rule (OC 1)""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""b b c -> c c a b b by OverlapClosure OC 3"b b c -> c c a a b by OverlapClosure OC 2"b b c -> c c a a a by original rule (OC 1)""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)""""b b c -> c c a a a by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""b b c -> c c a a a by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""b b c a -> c c a b b b by OverlapClosure OC 3"b b c a -> c c a a b b by OverlapClosure OC 3"b b c a -> c c a a a b by OverlapClosure OC 2"b b c -> c c a a a by original rule (OC 1)""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)""""b b c -> c c a a a by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)"""b b c -> c c a b b by OverlapClosure OC 3"b b c -> c c a a b by OverlapClosure OC 2"b b c -> c c a a a by original rule (OC 1)""a a -> a b by original rule (OC 1)"""a a -> a b by original rule (OC 1)""""b b c -> c c a a a by original rule (OC 1)" ---------------------------------------- (2) NO